. . "VHDL (Computer hardware description language)"@en . _:b7iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b7iddOtlocdOtgovauthoritiessubjectssh89002702 _:b8iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b7iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b8iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b8iddOtlocdOtgovauthoritiessubjectssh89002702 "VHDL (Computer hardware description language)"@en . _:b11iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b11iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b11iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b11iddOtlocdOtgovauthoritiessubjectssh89002702 "Very High Speed Integrated Circuits Hardware Description Language (Computer hardware description language)"@en . _:b11iddOtlocdOtgovauthoritiessubjectssh89002702 _:b16iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b16iddOtlocdOtgovauthoritiessubjectssh89002702 _:b17iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b16iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b17iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b17iddOtlocdOtgovauthoritiessubjectssh89002702 "Very High Speed Integrated Circuits Hardware Description Language (Computer hardware description language)"@en . _:b20iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b20iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b20iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b20iddOtlocdOtgovauthoritiessubjectssh89002702 "VHSIC Hardware Description Language (Computer hardware description language)"@en . _:b20iddOtlocdOtgovauthoritiessubjectssh89002702 _:b25iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b25iddOtlocdOtgovauthoritiessubjectssh89002702 _:b26iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b25iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b26iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b26iddOtlocdOtgovauthoritiessubjectssh89002702 "VHSIC Hardware Description Language (Computer hardware description language)"@en . "150 0$aVHDL (Computer hardware description language)" . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . "sh 89002702" . . . _:b146iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b146iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b146iddOtlocdOtgovauthoritiessubjectssh89002702 "Work cat.: Coelho, D.R. The VHDL handbook, c1989." . _:b146iddOtlocdOtgovauthoritiessubjectssh89002702 "found" . _:b152iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b152iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b152iddOtlocdOtgovauthoritiessubjectssh89002702 "VHDL International WWW site, June 9, 1998" . _:b152iddOtlocdOtgovauthoritiessubjectssh89002702 "(VHDL = VHSIC (Very High Speed Integrated Circuits) Hardware Description Language; formal notation intended for use in all phases of the creation of electronic systems, supporting the development, verification, synthesis, and testing of hardware design, the communication of hardware design data, and simulation of hardware descriptions)"@en . _:b152iddOtlocdOtgovauthoritiessubjectssh89002702 "found" . _:b160iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b160iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b160iddOtlocdOtgovauthoritiessubjectssh89002702 "INSPEC;ASTI;TEST;McGraw-Hill dict. sci. tech.;Chambers sci/tech dict." . _:b160iddOtlocdOtgovauthoritiessubjectssh89002702 "notfound" . _:b166iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b166iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b166iddOtlocdOtgovauthoritiessubjectssh89002702 "1989-05-02T00:00:00"^^ . _:b166iddOtlocdOtgovauthoritiessubjectssh89002702 "new"^^ . _:b166iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b173iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b173iddOtlocdOtgovauthoritiessubjectssh89002702 . _:b173iddOtlocdOtgovauthoritiessubjectssh89002702 "1998-07-09T12:36:35"^^ . _:b173iddOtlocdOtgovauthoritiessubjectssh89002702 "revised"^^ . _:b173iddOtlocdOtgovauthoritiessubjectssh89002702 .